Design Closure Techniques
Design Closure Techniques
COURSE CODE: FPGA-DSGNCLOSURE
This is an intermediate course. If you are new to AMD FPGAs, start here.
Learn how to achieve design closure more efficiently and productively with AMD FPGAs and SoCs by using the three pillars of design closure (functional closure, timing closure, and power closure). Also learn how to solve functional behavior, timing, and power simultaneously to achieve faster time-to-market results.
The emphasis of this course is on:
- Defining what design closure is and describing the three pillars of design closure (functional closure, timing closure, and power closure)
- Using recommended coding techniques
- Creating a test bench for functional verification
- Applying initial design checks and reviewing timing summary and methodology reports for a design
- Using baselining to verify that a design meets timing goals and applying the guidelines described in the baselining process
- Performing quality of results (QoR) assessments at different stages to improve the QoR score
- Implementing Intelligent Design Runs (IDR) to automate analysis and timing closure for complex designs
2-Day Instructor-led Course | Price USD | Training Credits |
---|---|---|
Hosted Online - $600/day | $1200 | 12 |
In-Person Public Registration - $600/day | $1200 | 12 |
Printed Course Book (A PDF book is included in the course fee) | $100 | 1 |
Private Training | Learn More | Learn More |
Coaching | Learn More | Learn More |
Scheduled Classes
View our Full Calendar for class date status.
(Confirmed, Closed, Full)
Training Duration:
2 Days
Be the first to know. Sign up for our newsletter.
Who should attend:
Software and hardware developers, system architects, and anyone who wants to learn about design closure techniques related to functional and timing closure.
Software Tools
- Vivado Design Suite
Hardware
- Architecture: UltraScale FPGAs and Versal adaptive SoCs
Skills Gained
After completing this comprehensive training, you will have the necessary skills to:
- Describe what is design closure is as well as its three pillars
- Create a test bench for functional verification
- Resolve setup and hold violations by reducing logic delay and net delay
- Improve clock skew and clock uncertainty
- Identify clock domain crossings (CDC) and scenarios that require synchronization circuits
- Perform QoR assessment at different stages and improve the QoR score
- Implement Intelligent Design Runs (IDR)
Course Outline
Day 1 | Day 2 |
---|---|
Introduction
Functional Closure
Timing Closure
| Timing Closure (continued)
|
Please note: The instructor may change the content order to provide a better learning experience.
Prerequisites:
- Basic knowledge of FPGA and SoC architecture and HDL coding techniques
- Basic knowledge of the Vivado Design Suite